2009年4月6日月曜日

Icarus Verilog vs. CVer

 ちょっくら,家で Verilog-HDL シミュレーションをやる必要が出てきたので,sim 環境を構築しようとしてみた.かつては Silos-III 評価版を魔改造してw 使っていたのだが,ちょっと大き目の回路だと落ちるようになってしまったので…
 フリーの Verilog-HDL シミュレータとしては,Icarus Verilog と cver が代表的だと思う.cver はどっかのベンダーが商用ツールをフリーとしてリリースしたもののようで,vcs とかになじんでいるとコマンドラインオプションとかが似ているので使いやすい.iverilog は 0.7 時代は使い物にならなかった印象かあるのだが,0.9 になって System Verilog とかにも対応したのか.すげぇな.

 で,SystemVerilg は使う予定がないので,普通の Verilog で,どっちが速いか試してみた.

お題: 16bit RISC CPU で 256個の数値クイックソート

GPLCVER_2.12a of 05/16/07 (Cygwin32).
9.108u 0.061s 0:10.27 89.1% 0+0k 0+0io 1607pf+0w

Icarus Verilog version 0.9.1 (v0_9_1)
37.327u 0.046s 0:40.57 92.0% 0+0k 0+0io 1842pf+0w

cver の圧勝.こんだけ差があると,iverilog を選ぶのはきついな.System Verilog を覚えてみるのもいいかとも思ってたのだが…(゜ーÅ)ほろり

----
 んー,ここの結果だと Icarus Verilog のほうがはやいなぁ.Cygwin 上でテストしたのが間違いだったんだろうか?
 てか,Verilator ってナニ!? VCS より速いフリーのシミュレータ!? おら,すっげぇわくわくしてきたぞwwww

0 件のコメント:

コメントを投稿